Verilog to VHDL Converter icon

Verilog to VHDL Converter

1 big stars
Verilog to VHDL Converter screenshot
Name: Verilog to VHDL Converter
Works on: windowsWindows 7 and above
Developer: Kanai Lal Ghosh
Version: 11
Last Updated: 08 Mar 2017
Release: 14 Apr 2013
Category: Programming > Other Programming Files
Rate this software:
947 downloads
screenshotsView Screenshots(1)
commentsComments
downloadDownload
Liked it? Tell others:
details

Verilog to VHDL Converter Details

Works on: Windows 10 | Windows 8.1 | Windows 8 | Windows 7 | Windows 2012
SHA1 Hash: 885cee882e6eec7797b2e1db703efcb25241e99b
Size: 23.41 MB
File Format: zip
Rating: 1.913043478 out of 5 based on 23 user ratings
Publisher Website: External Link
Downloads: 947
License: Free
Verilog to VHDL Converter is a free software by Kanai Lal Ghosh and works on Windows 10, Windows 8.1, Windows 8, Windows 7, Windows 2012.
You can download Verilog to VHDL Converter which is 23.41 MB in size and belongs to the software category Other Programming Files.
Verilog to VHDL Converter was released on 2013-04-14 and last updated on our database on 2017-03-08 and is currently at version 11.
download button
Thank you for downloading from SoftPaz! Your download should start any moment now. It would be great if you could rate and share:
Rate this software:
Share in your network:
features

Verilog to VHDL Converter Description

You can easily figure out what Verilog to VHDL Converter does by reading its name. It is a small application intended to provide you with a convenient way to convert Verilog code to the VHDL programming language.
Both Verilog and VHDL are hardware description languages that find their practical use in electronic systems and circuit modeling and programming. Verilog to VHDL Converter is a HDL translator that can prove useful for any electronics designers, allowing the accurate translation of Verilog designs to VHDL-compliant standards.
Some of you surely prefer manual conversion to using a dedicated tool and you just might have a point there, since the generated VHDL might not work properly, requiring additional manual rectifications that are meant to guarantee data type compatibility.
The application is built in Java and is capable of processing multiple Verilog files at once. If the input files are in random order, then you must use the 'sort' function prior to proceeding. There are commands for creating exclusion lists, generate one entity or one component exclusively (the ones corresponding to a specified top or module).
Verilog to VHDL Converter can only be run using the command console, but this shouldn't be an inconveninent for those who work with languages such as Verilog and VHDL, experienced enough to handle its simple syntax. The package comes with an example to demonstrate how the conversion is actually performed. An input Verilog file goes through a pre-processing operation (the corresponding RTL file is saved locally) and then the application proceeds to parsing it.
Verilog to VHDL Converter is particularly useful for designers who work in an environment that can handle both languages. Alternatively, it can be integrated into applications that are only compatible with VHDL.
screenshots

Verilog to VHDL Converter Screenshots

Verilog to VHDL Converter screenshot 1
similarSimilar Software